SEMICON Taiwan Tag Archive

  • GaN Systems Shares Key Takeaways from SEMICON Taiwan 2023

    GaN Systems Shares Key Takeaways from SEMICON Taiwan 2023

    3 Min Read

    SEMICON Taiwan 2023 once again underscored Taiwan’s strategic importance in the global semiconductor industry. Entering its 28th year, the event was held in Taipei, gathering 950 exhibitors with 3,000 booths and attracting more than 62,000 visitors. The sheer scale of participation was evident in the hour-long traffic queues and bustling metro platforms as people flocked into the Exhibition Halls.

    Innovations and sustainability were the focal points of this year’s show. Distinguished figures from the industry took the stage to emphasize the resilience of the semiconductor supply chain and envision a greener and more intelligent future enabled by semiconductors. Fueled by tremendous opportunities in artificial intelligence, communications, and automotive electronics, the semiconductor industry is poised to expand to a trillion-dollar market by the close of this decade despite the short-term cyclical downturns.

    Reshaping Mobility with Power Semiconductors

    This year, energy efficiency came to the forefront of innovations, especially among applications that have far-reaching impacts on the global economy, with electric vehicles being one.

    Electrification and autonomous trends continue to drive up the semiconductor content per vehicle. Wide bandgap semiconductors like SiC and GaN have emerged as pivotal players, making substantial contributions to the performance and efficiency of next-generation electric vehicles.

    It was exciting to see industry key players illustrate significant improvements achieved by new-generation semiconductors in terms of power efficiency, power density, and connectivity. Research firms estimate that silicon-based semiconductors will grow at a CAGR of 4% from 2022 to 2028, while SiC will grow at 31% and GaN at 49%, highlighting the growth potential of wide bandgap semiconductors.

    More Data, More Computing Power, More Energy Consumption

    The rapid adoption of artificial intelligence applications in every aspect of our lives presents a significant opportunity for the semiconductor industry. The recent breakthroughs in artificial intelligence, like generative AI, are made possible by the progress of semiconductor technologies, which were on full display at this year’s expo.

    The computing power and the memory access required for AI applications are still growing at an unprecedented pace, and the energy consumption is proportional to the computing capability. More efficient energy conversion and distribution solutions are critical for data centers to accommodate increasing energy-intensive workloads.

    Key takeaways Summary

    • SEMICON Taiwan once again turned out to be an enlightening event, fostering the exchange of experiences and the dissemination of ingenious ideas.
    • Energy efficiency challenges overall system performance as electronic devices become versatile and highly integrated. GaN power semiconductors are a low-cost and reliable solution to tackle power challenges for power-hungry applications.
    • Technology advancement hinges on two significant investments: innovation and talent. We’re pleased to note these were repeatedly addressed in keynotes and presentations at this year’s event.

    Original – GaN Systems

    Comments Off on GaN Systems Shares Key Takeaways from SEMICON Taiwan 2023
  • Navitas To Reveal New Power Platform at SEMICON Taiwan

    Navitas To Reveal New Power Platform at SEMICON Taiwan

    2 Min Read

    Navitas Semiconductor will reveal a new, high-performance wide bandgap power platform as part of its display at one of Asia’s most prestigious electronics exhibitions – sponsored by Navitas – SEMICON Taiwan 2023, from September 6th-8th.

    Visitors will discover the latest gallium nitride (GaN) GaNFast™ power ICs integrate gallium nitride (GaN) power and drive, with control, sensing, and protection to enable faster charging, higher power density, and greater energy savings. Complementary GeneSiC™ power devices are optimized high-power, high-voltage, and high-reliability silicon carbide (SiC) solutions.

    Additionally, Navitas will showcase cutting-edge, power-system platforms to dramatically accelerate customer developments, minimize time-to-market, and set new industry benchmarks in energy efficiency, power density and system cost. These system platforms include complete design collateral with fully-tested hardware, embedded software, schematics, bill-of-materials, layout, simulation and hardware test results.  Examples include:

    1. Navitas’ CRPS185 data center power platform, that delivers a full 3,200 W of power in only 1U (40 mm) x 73.5mm x 185 mm (544 cc), achieving 5.9 W/cc, or almost 100 W/in3 power density. This is a 40% size reduction vs, the equivalent legacy silicon approach and reaches over 96.5% efficiency at 30% load, and over 96% stretching from 20% to 60% load, creating a ‘Titanium Plus’ benchmark.
    2. Navitas’ 6.6 kW 3-in-1 bi-directional EV on-board charger (OBC) with 3 kW DC-DC. This 96%+ efficient unit has over 50% higher power density, and with efficiency over 95%, delivers up to 16% energy savings as compared to competing solutions.

    As part of SEMICON’s Power and Opto Semiconductor Forum, Navitas’ Charles Bailley, Senior Director of Business Development, will present “GaN Power ICs Increase Power Density in EV Power Systems”. The presentation is at 2pm, on September 6th, in room 402, 4F, TaiNEX 1.

    “Breakthrough high efficiency, high reliability, and high power density – all from the new GaN power IC platform,” said Kevin 汪時民 Wang, Manager of Navitas Taiwan. “The new platform announcement matches SEMICON’s theme of ‘Innovating the World through Semiconductors’ and our own mission to ‘Electrify Our World™’.”

    Original – Navitas Semiconductor

    Comments Off on Navitas To Reveal New Power Platform at SEMICON Taiwan